ReFLEX: Block Atomic Execution on Conventional ISA Cores - Inria - Institut national de recherche en sciences et technologies du numérique Access content directly
Conference Papers Year : 2010

ReFLEX: Block Atomic Execution on Conventional ISA Cores

Mark Gebhart
  • Function : Author
  • PersonId : 872469
Stephen W. Keckler
  • Function : Author
  • PersonId : 872470

Abstract

Modern multicore chips target thread-level parallelism at the expense of increasing instruction-level parallelism from single threaded programs. While recent work has attempted to construct a wide-ILP machine from multiple simple cores, these approaches suffer from ISA overheads or scalability challenges. In this paper, we describe an architecture that is inspired by the scalability and flexibility of the TFLEX architecture, yet elides the unorthodox ISA and the overheads that stem from its dataflow execution model. Our results focus on the tradeoff between near out-of-order execution (small out-of-order window within a block of instructions) and far out-of-order execution across blocks. Experiments indicate that a small out-of-order window combined with block-level speculation enables our proposed ReFLEX architecture to achieve comparable performance and flexibility as TFLEX yet with simpler cores and a more conventional ISA.
Fichier principal
Vignette du fichier
PESPMA-gebhart.pdf (1.22 Mo) Télécharger le fichier
Origin : Files produced by the author(s)
Loading...

Dates and versions

inria-00494299 , version 1 (22-06-2010)

Identifiers

  • HAL Id : inria-00494299 , version 1

Cite

Mark Gebhart, Stephen W. Keckler. ReFLEX: Block Atomic Execution on Conventional ISA Cores. Pespma 2010 - Workshop on Parallel Execution of Sequential Programs on Multi-core Architecture, Jun 2010, Saint Malo, France. ⟨inria-00494299⟩
67 View
115 Download

Share

Gmail Facebook X LinkedIn More