Combining SystemC, IP-XACT and UML/MARTE in model-based SoC design - Inria - Institut national de recherche en sciences et technologies du numérique Accéder directement au contenu
Communication Dans Un Congrès Année : 2011

Combining SystemC, IP-XACT and UML/MARTE in model-based SoC design

Résumé

Modern SoC design may rely on models, or on highlevel description languages. Although very close, the benefits obtained from either sides can be substantially different (and mismatch may occur). The IP-Xact formalism, now a standard (IEEE 1685), was introduced to help assemble component IP from distinct sources into an integrated design. Components could be expressed in high-level HDLs such as SystemC, so should be the full design after translation. Experience shows that in fact this is hardly the case, specially in publicly available methods and tools. The present contribution goes one step into linking SystemC designs to their IP-Xact structural representation by translation. It then exports the resulting IP-Xact model into the UML/MARTE profile modeling framework, to allow to annotating existing models with additional information (again in a publicly available fashion, as opposed to vendor extensions). Even if our approach is still far from being complete, it bridges a number of gaps induce by the combined uses of SystemC and IP-Xact.
Fichier principal
Vignette du fichier
M-BED_2011_fullpaper.pdf (272.37 Ko) Télécharger le fichier
Origine : Fichiers éditeurs autorisés sur une archive ouverte
Loading...

Dates et versions

inria-00601840 , version 1 (20-06-2011)

Identifiants

  • HAL Id : inria-00601840 , version 1

Citer

Jean-François Le Tallec, Julien Deantoni, Robert de Simone, Benoît Ferrero, Frédéric Mallet, et al.. Combining SystemC, IP-XACT and UML/MARTE in model-based SoC design. Workshop on Model Based Engineering for Embedded Systems Design (M-BED 2011), Mar 2011, Grenoble, France. ⟨inria-00601840⟩
441 Consultations
543 Téléchargements

Partager

Gmail Facebook X LinkedIn More